INSTITUTE FOR MICROMANUFACTURING

Resources

Micromanufacturing incorporates many of the processes developed by the integrated circuit industry as well as novel techniques developed by scientists from all technical research areas including physical chemistry, physics, engineering, and mathematics. The IfM website includes information on the micromanufacturing processes under study and in use at the facility, including brief tutorials. There is also a general background tutorial on some of the theories behind micromanufacturing.

Select Process and Metrology Equipment

Below are some pictures of a few of the pieces of equipment we have here at IfM. The names of the pieces of equipment should be listed either to the right, or left of that row of pictures. By clicking on a picture or the name of the machine, you will be taken to a different page that can tell you more about what that machine does, what it can be used for, and contact information for whom would be over that piece of equipment. This information can be used if needed to contact them about understanding it more or about setting up a time to use it. All pieces of equipment are listed lower under the pictures, sorted by location.

3D Laser Scanning Confocal Microscope

The 3D Laser Scanning Confocal Microscope from Keyence Corp. is a state-of-the-art digital microscope designed for imaging and measurement applications. This easy-to-use microscope with advanced metrology capabilities is built with a highly sensitive image sensor (16-bit photomultiplier) that collects the reflected light and provides high-resolution images at both low and high magnifications. This allows performing precise 3D measurements on objects with complex geometries, with the vertical resolution of 5 nm. This microscope can adjust light intensity levels automatically for optimal imaging, and compare data from multiple surfaces. This instrument can also serve as an optical profiler for non-contact measurements of surface texture parameters and patterned structures on a wide range of materials’ surface. Any surface feature in the range of millimeters to nanometers can be measured accurately. Multiple image frames can be stitched together without any distortion to get a wider field-of-view of the sample surface.

Capabilities:
• High-resolution and high-magnification imaging.
• No sample preparation or vacuum is required for imaging.
• Rapid laser scanning with full autofocus and scan control.
• Reliable and repeatable non-contact 2D and 3D measurements of surface parameters, step-heights, etc., at sub-micron levels.
• A wide range of materials and structures can be measured and analyzed.
• Image stitching can be performed easily.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Alcatel A601E – Inductively Coupled Plasma Deep Silicon Etcher

The Alcatel A601E is an Inductively Coupled Plasma (ICP) silicon etching system that offers the standard Bosch etch process capabilities. This system is capable of providing highly selective and fast silicon etching with silicon oxide and photoresists as masks. This system also features a load-lock for loading 4-inch wafers. Silicon etching and passivation processes are cycled to provide deep and anisotropic silicon etching. The etch rate can be tuned by adjusting the flow rates of SF6 and C4F8 gases, and the cycle time as well as the RF power. The silicon substrate is cooled and maintained at slightly lower temperatures to avoid breaking of the substrate during the etching process.

Capabilities:
• Deep silicon etching (~ 50-400 µm range).
• Standard Bosch process is available.
• Silicon etch rate can be tuned.
• Only 4-inch wafers can be processed.
• Substrates are cooled during process runs.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Bruker D8 Discover – X-Ray Diffractometer

The Bruker D8 X-ray diffractometer (XRD) is a very useful tool for analyzing solid crystalline materials. This system has monochromatic x-ray source with Cu anode for operating in spot focus mode with x-ray collimators of different sizes. This system is also equipped with a Göbel mirror assembly, Hi-Starr 2D area detector, and a motorized 5-axis sample stage designed in a centric quarter cradle configuration. For routine use, one can employ 500 µm or 800 µm size collimators to increase the X-ray counts. In addition, it has a laser video system for precision alignment of samples and heating stage to collect data at elevated temperatures.

Capabilities:
• Fast 2q scans with Hi-STARR area detector
• Phase analysis of materials with ICCD database
• Samples can be heated up to 800oC. Phase transition studies can be performed.
• X-ray spot size can be varied with different collimators.
• Laser video system for accurate adjustment of sample height.
• Software packages such as Diffrac EVA, TOPAZ, LEPTOS and ICCD 2007 database are available.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

E-Beam Evaporator – CHA Industries (BEC-600-RAP)

The CHA e-beam evaporator is a cost-effective thin-film deposition system available for depositing metal films. This system has a rotating 4-crucible holder for placing four different source metals. A single metal film can be deposited at a time, and up to 4 different metal layers can be deposited without breaking the vacuum. This machine can evaporate metals, such as Au, Ti, Cr, Cu, Ni, Al, etc. Graphite, molybdenum, alumina and intermetallic crucibles are used for heating different metals. Up to 18 wafers (4-inch diameter or less) can be coated in a single process run. The crucible holder is cooled and maintained at a constant temperature during the evaporation of molten metals.

Capabilities:
• Several metals can be evaporated and coated to form multilayer films.
• Up to 18 wafers or glass slides can be coated in a single process run.
• Deposition rate can be tuned during the process.
• Wafers with a 4-inch diameter or less can be processed.
• Four different metal films can be deposited without breaking the vacuum.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Hall Effect Measurement System

The LakeShore’s Hall Effect Measurement System (HMS, model 7707) is an advanced electrical measurement system designed to characterize a wide range of materials and analyze their electronic transport properties. This Hall measurement system is useful to study Hall effects and transport properties in pure semiconducting or doped materials, magnetoresistance materials, superconductors, conducting oxides and polymers by making four-point electrical measurements or six-point Hall bar measurements. This equipment allows users to control the magnetic field while measuring, and determine material properties, such as resistivity, carrier concentration, carrier mobility, Hall coefficient, etc. Thin films and bulk samples can be measured.

Capabilities:
• Samples can be measured in 4-contact Van der Pauw or 6-contact Hall bar configuration.
• Magnetic field up to 1.2 Tesla can be applied during the measurement.
• Measure Hall voltage, electrical resistance, and current-voltage characteristics, and calculate Hall coefficient, resistivity, mobility, and carrier concentration.
• Samples with resistances ranging from 1.0 mΩ to 10 x 109 Ω can be measured with < 2% measurement uncertainty.
• Good magnetic field stability with water-cooled magnet coils.
• User-friendly software for creating measurement profile with multiple measurement steps or using different contact probes.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

HITACHI S-4800 Field-Emission Scanning Electron Microscope

The Hitachi S-4800 cold-cathode field-emission scanning electron microscope (FE-SEM) is a very advanced imaging system that can provide a resolution on the order of ~ 1nm at 15KV of beam energy. This FE-SEM allows imaging metallic, inorganic, organic and biological specimens with minimum damage to soft samples. The energy dispersive X-ray spectrometer (EDS) coupled with this FE-SEM provides the capability to analyze materials by determining the elemental composition and mapping specimen surfaces. A YAG backscattered electron (BSE) detector is available to capture contrast-enhanced images of multi-phase materials. Silicon wafer and other substrate materials up to 4” in diameter can be loaded and imaged. Only dry samples are allowed for imaging in this system.

Capabilities:
• High-resolution imaging of micro and nanostructured solid samples
• X-ray microanalysis (EDAX Genesis 400)
• Backscattered electron imaging using YAG detector
• Low KV (0.5 – 5.0KV) imaging and high KV (5.0 – 30.0 KV) imaging
• Wafers up to 4” diameter can be imaged
• Measurement of particle size and critical dimensions

Additional Resources:

Example Images
Secondary Electron (SE) Images

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Isotropic Silicon Release Etcher

The Xactix XeF2 e1 system is a dry silicon etcher in which vapor phase XeF2 etchant gas is used for isotropic etching of silicon and releasing MEMS microstructures. XeF2 exhibits high selectivity to silicon over other materials including photoresist, silicon dioxide, silicon nitride, and aluminum. Since XeF2 etching is a chemical diffusion process and carried out at a controlled temperature, it avoids many of the problems associated with wet or plasma etch processes. Since the etching is isotropic, large undercuts of structures can be produced in silicon. Other sacrificial materials or layers, such as polysilicon, amorphous silicon, single crystal silicon, molybdenum, germanium, tungsten, etc., can be etched.

Capabilities:
• XeF2 etching of silicon done at controlled temperature and low pressure minimizes material damage to released structures.
• Etching a wide range of thin film materials including silicon, molybdenum, germanium, and tungsten.
• Etch silicon wafers up to 6 inches in diameter. Small silicon wafer pieces can also be etched.
• XeF2 etching eliminates corrosion and stiction.
• Large undercuts of structural materials can be performed to release mechanical structures.
• High etch rate for large feature size and the blanket silicon wafer.
• Etch cycle time can be controlled easily through the software.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Bond Aligner

The Süss MicroTec MA/BA6 Mask/Bond Aligner is a high-precision UV exposure system used for pattern transfer by standard lithography process as well as for alignment of wafers for the wafer bonding process. The MA6 is used for the fabrication of microstructures and circuit patterns for electronic device and MEMS applications. This system allows for top side and bottom side alignments, facilitating pattern printing on both sides of the substrate. The MA6 system features different exposure modes with high alignment accuracy and is designed for achieving high resolution and edge quality. The high exposure energy source coupled with high-intensity optics allows for thick resist patterning in high density interconnect and multichip module applications.

Capabilities:
• Accurate exposure gap setting in the range of 1-300 µm is possible for higher yield.
• High-intensity UV light source reduces exposure time. The light source is a Hg lamp that can provide power in the range of 200-1000W with an intensity uniformity of ± 5%.
• Top and bottom side alignment and Infrared alignment. Top side alignment accuracy of ± 0.5 µm and bottom side alignment accuracy of ± 1.0 µm can be achieved.
• Reliable sub-micron printing capability.
• Different exposure modes, such as soft, hard, vacuum, and proximity contacts are possible.
• Bond alignment feature with high accuracy fixture.
• Four-inch wafers are processed routinely. The system can accommodate up to 6” wafers.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Reactive Ion Etcher

The Reactive Ion Etcher (RIE, Model: SLR 720 from PlasmaTherm) is a plasma etching system that is used to etch materials, such as silicon, polysilicon, silicon oxide, silicon nitride, photoresist, metal films, polymers, etc. This SLR 720 RIE is a dual chamber system with a load-lock, and the two chambers are selectively used for etching processes that involve different chemistry. Currently, fluorine, chlorine, and oxygen plasma processes are used to etch materials in this system. System software allows selection and control of pressure and flow rate for different process gases, such as SF6, CF4, CHF3, H2, O2, Cl2, He, etc. A single RF source in a switchable configuration allows users to run etch process in any of these chambers.

Capabilities:
• Silicon, silicon nitride, and polymer materials, including photoresists, can be etched for MEMS, semiconductor, and optoelectronics applications.
• Some III-V compound semiconductors and diamond-like carbon (DLC), and also metal films, such as Al, Pt, Ti, and W can be etched.
• Processes can be run easily from stored recipe files. New processes can be easily created.
• The highly repeatable process runs for higher yield.
• Etch processes can also be performed in manual mode.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Spectroscopic Ellipsometer – Sentech SE 850

The SE 850 Spectroscopic Ellipsometer (from Sentech Instruments GmbH) is a thin-film characterization system that is mainly used for measuring film thickness and optical constants of single layers, multi-layer stacks, and interfaces of materials over a broad spectral range of 350 – 1700 nm. This system allows rapid data acquisition in the UV-VIS-NIR spectral ranges, and to build models of the material layers and perform curve fitting easily. The ellipsometric angles, D and Y, as a function of wavelength or energy can be measured, and the refractive index, extinction coefficient, and dielectric constant as a function of wavelength can be determined. This system features adjustments for tilt correction and fine focus on the sample to ensure precise sample alignment for accurate measurements. Isotropic and anisotropic materials, surface and interface roughness can be analyzed through realistic modeling of material layers.

Capabilities:
• Thin layers of transparent and semi-transparent semiconductors, optoelectronics and polymers can be analyzed.
• Mechanical setup with a manual goniometer for multiple angle measurements. The angle of incidence can be varied between 40o and 90o, in steps of 5o.
• Material layers can be modeled easily using the available database.
• Fast measurement data collection, graphing, and fitting.
• Dielectric function vs. wavelength can be analyzed.
• Adjustments for tilt correction and focus on a sample for accurate measurements.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Stylus Surface Profiler – Dektak 150

The Dektak 150 Surface Profiler is a reliable and versatile surface roughness and step-height measurement system. This instrument has the capability to perform precise step-height measurements down to 50 angstroms for thin films, and up to several hundred microns for thick films, and is used for critical micro and nano-scale measurements in MEMS research and for thin-film metrology. This system includes a motorized X-Y translational stage that provides a long scan range (up to 55 mm) and accommodates thick samples (up to 90 mm). This system uses a low-inertia sensor head that offers high sensitivity, providing high vertical and horizontal resolution, and also enabling precise planarity scans for measuring the radius of curvature, flatness, and waviness. Measurements of surface parameters, and 3D surface mapping and analysis can be performed.

Capabilities:
• High precision surface roughness and step height measurements
• Low-force characterization and long scan lengths of up to 55 mm
• Large vertical range of 1 mm with more than 100,000 data points
• High aspect ratio trench depth measurements
• Microlens height/curvature and V-groove depth analysis can be performed
• Measurements of various surface parameters

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Surface Area and Pore Size Analyzer

The NOVA 2200e is a surface area and pore size characterization system. This unit can measure B.E.T. surface area of samples using the helium void volume method or helium free method. This programmable pore size analyzer can measure both adsorption and desorption isotherms.  Samples are outgassed under vacuum or inert gas purge at elevated temperatures. The surface area for most of the samples is measured by nitrogen adsorption at liquid nitrogen temperature. For samples with very low surface area, krypton adsorption can be performed at liquid nitrogen temperature. Average pore size and total pore volumes of materials can be analyzed.

Capabilities:
• Samples can be degassed conveniently at elevated temperatures prior to performing surface area measurements.
• Micropore and mesopore size distributions in materials can be measured.
• System can utilize any gas for adsorption isotherms.
• Samples can be cooled with liquid nitrogen during measurement.
• Different computational analyses related to surface area and pore volume of samples, such as B.E.T., Langmuir, V-t method, DR method, Alpha-S method, BJH pore size distribution, etc., can be performed.
• Low surface area capability with compensation for adsorption on cell walls.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

TA Instruments Q50 TGA Thermogravimetric Analyzer

TA Instruments Q50 TGA Thermogravimetric Analyzer

The Q50 Thermogravimetric Analyzer from TA Instruments is a precision thermobalance used for performing thermal analysis of samples. The furnace in this system features low mass, rugged heater windings, and proprietary heater control technology that allows rapid, accurate and precise temperature and rate programming. This system has integrated dual mass flow controllers that provide precise purge gas metering. This machine features an accurate vertical thermobalance with the capacity to measure sample weight up to 1g. This thermobalance is housed in a temperature-compensated environment that provides the best accuracy and precision in weight change detection from ambient to 1000oC and reliable operation over the entire weight range. This system also features a single control/sample thermocouple positioned very close to the sample, and the overall design integrated with a second thermocouple located slightly above in the same sleeve ensures that simultaneous heating rate control and sample temperature measurement are accurately and precisely accomplished. This system facilitates a regulated portion of the gas to be directed through the balance chamber to eliminate backflow, and the combined gases plus any sample effluent to exit the system by a side arm efficiently in order to minimize buoyancy effects and optimize removal of decomposition products from the sample area. The automatic low-volume gas switching valves deliver an instantaneous change of purge gas that is critical when converting between inert and oxidizing atmosphere.

Capabilities:
• Heat samples from room temperature to 1000oC with the controlled heating rate of 0.1 to 100oC/min
• Dual digital mass flow controllers and automatic gas switching capability
• The isothermal temperature accuracy and precision of ±1oC and ± 0.1oC
• Maximum sample weight of 1.0 gram with the sensitivity of 0.1µg and precision of ±0.01%
• Rapid furnace cooling with forced air, from 1000oC to 50oC in less than 12 minutes
• Low baseline dynamic drift of less than 50 µg
• Easy-to-use software to set and maintain the temperature and heating rate
• Platinum or ceramic sample pans
• Metered purge gas flow across the samples

Contact Person:  Dr. Yuri Lvov Email: ylvov@latech.edu Tel: 318-257-5144

Magnetron Sputter Machine

The AJA 2200V DC/RF magnetron sputter system is a confocal thin-film deposition tool in the sputter-up configuration. This system can be used to deposit thin-films of metals and insulators on silicon wafers or other substrates up to 4” diameters. This sputter machine is equipped with four DC magnetron guns and two RF magnetron guns. Metal films, such as Pt, Au, Ag, Ti, Cr, Cu, Zn, etc., and dielectric materials, such as SiO2, Al2O3, ZnO, and TiO2 can be deposited. Each target is 3” in diameter. This machine is also suitable for co-depositing metals to form alloys and reactive sputtering in oxygen and nitrogen to deposit metal oxides, nitrides, and oxynitrides.

Capabilities:
• Thin film deposition of pure metals, alloys, and compound insulators
• co-sputtering of two or more metals in a confocal configuration
• Reactive sputtering in nitrogen and oxygen
• Deposition of multi-layer films with selected target materials
• Wafers up to 4” diameter can be deposited
• The substrate can be heated during deposition

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Wafer Bonder – Suss MicroTec SB 6e

Description:
The SB 6e wafer bonder from Süss MicroTec, Inc., is a high precision system that offers process capabilities for bonding two or more glass substrates or silicon wafers together using various adhesive technologies for high-quality packaging. The design and tooling of this system support several types of wafer bonding processes. This system makes use of the available Süss bond aligner BA6 for alignment of wafers, and together they offer capabilities for the fabrication of MEMS, optoelectronics, and 3D interconnects. This system delivers superior post bond alignment accuracy, uniform force capability and precise temperature control. This is a semi-automated platform with both vacuum and pressure controlled atmosphere capability, and the independent upper and lower substrate heaters and precise force control during the wafer bonding process allow tuning of the device performance. This system also features a standardized wafer-transport mechanism, and an ergonomic load/unload station with gate valve-type port to minimize chamber exposure to the atmosphere and eliminates chamber contamination.

Capabilities:
• Bonding of wafers up to 150 mm in diameter.
• Up to 1 µm post bond alignment capability for better yield.
• Independent top and bottom heaters compensate for different thermal mismatch delivering optimum bond quality.
• Precise temperature control (from room temperature to 500 oC) and programmable force control (from 300 N to 20 kN) during the wafer bonding process.
• Fast heating and active cooling to reduce process cycle time.
• Processes can be run easily from stored recipe files. New processes can be easily created.
• Highly repeatable wafer bond process runs for higher yield.

Contact Person:  Phil Chapman  Email:  pchapman@latech.edu  Tel:   318-257-5114

Zeta Plus – Zeta Potential and Particle Size Analyzer

The Zeta Potential Analyzer (Zeta Plus from Brookhaven Instruments) is a simple and accurate electrophoretic mobility and surface charge measurement system.  Solutions or suspensions of nanoparticles, colloidal-sized materials, polymers, and most of the proteins in any non-absorbing liquid with dielectric constant  > 20 and viscosity < 5 cP can be used for measurements. Particles with sizes in the range of 2 nm and 3 µm in diameter can be measured. The zeta potential in the range of -220mV and 220mV and the electrophoretic mobility in the range of 10-9 to 10-7 m2/V.s can be measured accurately. The maximum sample concentration that can be used is 40% v/v, which is sample dependent. The bimodal zeta potential of a sample can be obtained from analyzing a mixture of charged particles.

Capabilities:
• Zeta potential measurements in low salt aqueous solutions or suspensions can be performed.
• No cell alignment or calibration is required. The system uses one piece, low cost, disposable sample cells.
• Rapid determination of electrophoretic mobility and real-time display of the information obtained from the sample at room temperature.
• Determination of isoelectric point is possible.
• Doppler frequency shift, electrophoretic mobility, zeta potential using Smoluchowski or Huckel model can be presented.
• The system can resolve multi-modal size distributions.

Contact Person:  Davis Bailey Email: dbailey@latech.edu Tel: 318-257-5111

Laboratory Information

Nanoassembly Laboratory (L-7)

  • NIKON, Eclipse TE2000-U Fluorescence Microscope
  • FUTURE DIGITAL SCIENTIFIC, OCA 15/20 Static Contact Angle Measurement
  • EPPENDORF, 5804R Centrifuge
  • P&K, Ultra Organic Film Technology Dipping Robot
  • BROOKHAVEN INSTRUMENTS, Zeta Plus Zeta Potential Analyzer
  • ADMET, Tensile Test Station
  • INTERNATIONAL EQUIPMENT, Centra CL3R Centrifuge
  • TECAN, Genios FL Plate Reader

 

Biotechnology Laboratory (L-8)

  • ISS, K2 Multifrequency Phase Fluorometer
  • ELECTRON MICROSCOPY SCIENCES, Critical Point Dryer
  • PHOTOPHYSICS, Stop Flow Analyzer
  • JASCO, V-530 UV-VIS Spectrophotometer
  • JASCO, J810 Spectropolarimeter
  • MICROCAL, VP-ITC Microcalorimeter
  • MICROCAL, VP-DSC Microcalorimeter
  • UVP, White Light Transilluminator
  • VULCAN, 3-550A High Temperature Box Furnace
  • QUANTACHROME, Nova 2000 Porosity Measurement System
  • VARIAN, CP 3800 Gas Chromatograph

Sensors / Electronics Assembly Laboratory (L-10)

  • MELLEN, SV Series Tube Furnace
  • VULCAN, 3-550 Programmable 50-1100 oC Box Furnace
  • HEWLETT PACKARD, 5890 Series II Gas Chromatograph.
  • STANFORD RESEARCH SYSTEMS, QMS 200 Gas analyzer
  • PHILLIPS, PM 3394 200MHZ Oscilloscope.
  • FLUKE, 8505A Digital Multimeter
  • NEXUS, 470 FT-IR Measurement System
  • AGILENT, 4396B Spectrum Analyzer
  • STANFORD RESEARCH, SR760 FFT Spectrum Analyzer
  • TA INSTRUMENTS, TGA Q50 Thermogravimetric Analyzer
  • SHIMADZU, UV-1601PC UV-Visible Spectrophotometer
  • HITACHI DIGILAB, F2500 Fluorescence Spectrophotometer
  • K&H, IDL-800 Digital Lab Test Station

Measurements And Characterization Laboratory (L-11)

Microscopic Imaging Instruments

  • AGILENT, 5420 Atomic Force Microscope (AFM)
  • PACIFIC NANOTECHNOLOGY, NANO R SPM Atomic Force Microscope
  • OLYMPUS, Vanox AHMT3 and AX70 Optical Microscopes
  • OPTIMAS,  Line width Measurement System
  • HITACHI,  S-4800 Field-Emission Electron Microscope
  • LEICA, DM4000 M Research Grade Optical Microscope
  • NIKON, Labophot 2 Optical Microscope with Frame Grabber
    • KEYENCE VK-X160K Laser Microscope

Thin Film Measurement and Surface/bulk Characterization Systems

  • PHI, 5800 ESCA System X-ray Photoelectron Spectroscopy
  • SENTECH,  SE 850 Spectroscopic Ellipsometer
  • VEECO/WYKO, NT1000 Optical Interferometer – Roughness-Step Tester (RST)
  • KLA TENCOR, Alpha-Step IQ, Alpha-Step 500 Surface Profiler
  • DIGIMICRO,  MFC-101 thickness measurement tool.
  • DEKTAK, 150 Surface Profiler
  • BRUKER, D8 Discover Multi-Function X-Ray Diffractometer

Sample Preparation

  • CRESSINGTON, 208 HR Metal Sputter Coater
  • CRESSINGTON, 208 Carbon deposition
  • BAL-TEC,  Powertome PC Microtome

Research And Instructional Laboratory (STL)

Instructional / Research Equipment

  • BOLD TECHNOLOGIES, combination wet processing stations with HEPA air filtration which provide local Class 1000 clean conditions
  • TECHNICS,  MICRO 800 table-top reactive ion etcher (RIE)
  • ELECTRONIC VISIONS,  EV420 optical mask aligner
  • SPECIALTY COATING SYSTEMS,  Model G3P-8 resist spinner
  • LABLINE, Imperial IV Model 3497M3 inert gas oven for resist processing
  • ULTRASONIK, temperature controlled ultrasonic bath
  • OLYMPUS, Provis AX70 optical inspection microscope
  • OLYMPUS, SZ series stereo zoom microscope
  • LINDBERG/ BLUE M,Model STF 5666C triple heat zone quartz tube furnace
  • DENTON VACUUM, DV-502A thermal deposition system
  • FIGEN, OPC80T Osmium /Carbon coater
  • EXACTIX, 4.2.2.e Xenon Difluoride silicon etcher
  • SIKAMA, Falcon 5 solder reflow station
  • TERRA UNIVERSAL, glove box
  • MARPET ENTERPRISES, ball bonder and wedge bonder
    • ELECTRONIC VISIONS, EV 420 Mask Aligner with backside alignment for photo-optical contact printing in photosensitive resist films

Modular Cleanroom Facility

Research Equipment

  • BOLD TECHNOLOGIES, combination wet processing station with HEPA air filtration
  • SUSS MICROTEC MA6/BA6 high precision mask aligner and bond aligner
  • HERAEUS INSTRUMENTS, VT 6060 P 400 oC programmable vacuum drying oven
  • CEE, model 1100-600 600 oC programmable vacuum hot plate with Ngas blanket
  • OLYMPUS, Vanox AHMT3 optical research microscope
  • PLASMA THERM, SLR 720 dual chamber Reactive Ion Etching (RIE) system
  • PLASMA THERM, Series 790 dual chamber Plasma Enhanced Chemical Vapor Deposition (PECVD) system
  • CHA, four-pocket, electron beam deposition system
  • CHA, four source thermal evaporator
  • Three tube Low Pressure Chemical Vapor Deposition (LPCVD) system
  • UNIFILM TECHNOLOGY, PVD 300 four position sputter deposition system
  • AJA INTERNATIONAL,  6-target, co-deposition sputter deposition system
  • HEIDLEBERG, Laser Pattern Generator for optical mask fabrication
  • SUSS MICROTEC, SB6e Wafer Bonder
  • ALCATEL, A601E Inductively Coupled Plasma (ICP) etcher
  • LEICA, EBL 100 computer controlled 100 keV Electron Beam Lithography system
  • CEE, model 100 programmable resist spinner
  • CEE, model 1100 programmable vacuum hot plate with N2 gas blanket

Electrical Measurement Systems

  • KEITHLEY INSTRUMENTS,  Electrical Measurement Probe Station
  • LAKESHORE, 75013 SCSM Hall Measurement System

Magnetic Measurement Systems

  • PRINCETON ELECTRONICS, Alternating Gradient Magnetometer (AGM)

Equipment Mechanical

  • PRECITECH, Optimum 2000 two axis computer controlled precision lathe
  • MICROAUTOMATION, 1006 dicing saw
  • MICROAUTOMATION, 2006 cleaning station.

General Machine Shop

  • BRIDGEPORT, milling machine
  • LeBLOND, metal turning lathe
  • MSC, horizontal band saw
  • MSC, vertical band saw
  • LINCOLN, 225 Ampere arc welding station
  • Oxygen / acetylene gas welding / brazing station
  • KALAMAZOO INDUSTRIES, belt sander
  • DELTA, 161/2 inch drill press

Equipment Located in the Biomedical Engineering Building

  • LEICA, CTRMIC Confocal Microscope

Institute for Micromanufacturing Shared Facilities at CAMD

  • Deep X-ray Lithography Laboratory

Research Equipment

  • XRLM1 micromachining white light beam transport line, Port 2A, terminated by a manually operated JENOPTIK X-ray scanner exposure station
  • XRLM2 micromachining beam transport line, Port 2B, terminated by a JENOPTIK fully automated X-ray scanner exposure station housed in a class 10,000 soft-wall cleanroom